PUBLICATIONS/究成果

Refereed Journal Papers (論文誌 (英文誌))
J50-2024 Z. Wang and A. Ben Abdallah, Masayuki Hisada, ''A Hybrid Clustered Approach for Enhanced Communication and Model Performance in Blockchain-Based Collaborative Learning,'' in IEEE Access, vol. 12, pp. 16975-16988, 2024, doi: 10.1109/ACCESS.2024.3359272.
J49-2024 Y. Liang, Z. Wang and A. Ben Abdallah, ''Robust Vehicle-to-Grid Energy Trading Method Based on Smart Forecast and Multi-Blockchain Network",  in IEEE Access, vol. 12, pp. 8135-8153, 2024, doi: 10.1109/ACCESS.2024.3352631.
J48-2023 K. N. Dang, N. A. V. Doan, N. -D. Nguyen and A. Ben Abdallah, "HeterGenMap: An Evolutionary Mapping Framework for Heterogeneous NoC-Based Neuromorphic Systems," in IEEE Access, vol. 11, pp. 144095-144112, 2023, doi: 10.1109/ACCESS.2023.3345168.
J47-2023 N. -D. Nguyen, A. B. Ahmed, A. Ben Abdallah and K. N. Dang, "Power-Aware Neuromorphic Architecture With Partial Voltage Scaling 3-D Stacking Synaptic Memory," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 31, no. 12, pp. 2016-2029, Dec. 2023, doi: 10.1109/TVLSI.2023.3318231.
J46-2023 W. Y. Yerima, K. N. Dang and A. B. Abdallah, "R-MaS3N: Robust Mapping of Spiking Neural Networks to 3D-NoC-Based Neuromorphic Systems for Enhanced Reliability," in IEEE Access, , vol. 11, pp. 94664-94678, 2023, doi: 10.1109/ACCESS.2023.3311031
J45-2023 N. -D. Nguyen, X. -T. Tran, A. Ben Abdallah and K. N. Dang, "An In-Situ Dynamic Quantization With 3D Stacking Synaptic Memory for Power-Aware Neuromorphic Architecture," in IEEE Access, vol. 11, pp. 82377-82389, 2023, doi: 10.1109/ACCESS.2023.3301560.
J44-2023 W. Y. Yerima, O. M. Ikechukwu, K. N. Dang and A. Ben Abdallah, "Fault-Tolerant Spiking Neural Network Mapping Algorithm and Architecture to 3D-NoC-Based Neuromorphic Systems," in IEEE Access, vol. 11, pp. 52429-52443, 2023, doi: 10.1109/ACCESS.2023.3278802.
J43-2022 Y. Liang, Z. Wang and A. Ben Abdallah, "V2GNet: Robust Blockchain-Based Energy Trading Method and Implementation in Vehicle-to-Grid Network," in IEEE Access, vol. 10, pp. 131442-131455, 2022, doi: 10.1109/ACCESS.2022.3229432.
J42-2022 Wang, Jiangkun, Ogbodo Mark Ikechukwu, Khanh N. Dang, and Abderazek Ben Abdallah. 2022. "Spike-Event X-ray Image Classification for 3D-NoC-Based Neuromorphic Pneumonia Detection" Electronics 11, no. 24: 4157. https://doi.org/10.3390/electronics11244157
J41-2022 Z. Wang and A. Ben Abdallah, "A Robust Multi-Stage Power Consumption Prediction Method in a Semi-Decentralized Network of Electric Vehicles," in IEEE Access, vol. 10, pp. 37082-37096, 2022, doi: 10.1109/ACCESS.2022.3163455.
J40-2021 Khanh N. Dang, Nguyen Anh Vu Doan, Abderazek Ben Abdallah MigSpike: A Migration Based Algorithm and Architecture for Scalable Robust Neuromorphic Systems,  IEEE Transactions on Emerging Topics in Computing (TETC), 12/2021. DOI: 10.1109/TETC.2021.3136028 
J39-2021 Abderazek Ben Abdallah, Khanh N. Dang, “Toward Robust Cognitive 3D Brain-inspired Cross-paradigm System,'' Frontier in Neuroscience 15:690208, doi: 10.3389/fnins.2021.690208
J38-2021 Khanh N. Dang, Akram Ben Ahmed, Abderazek Ben Abdallah, Xuan-Tu Tran, ‘‘HotCluster: A thermal-aware defect recovery method for Through-Silicon-Vias Towards Reliable 3-D ICs systems,’’ IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems March 2021. DOI: 10.1109/TCAD.2021.3069370
J37-2021 O. M. Ikechukwu, K. N. Dang and A. Ben Abdallah, "On the Design of a Fault-Tolerant Scalable Three Dimensional NoC-Based Digital Neuromorphic System With On-Chip Learning," in IEEE Access, vol. 9, pp. 64331-64345, 2021, doi: 10.1109/ACCESS.2021.3071089.
J36-2020 K. N. Dang, A. B. Ahmed, A. Ben Abdallah and X. Tran, "TSV-OCT: A Scalable Online Multiple-TSV Defects Localization for Real-Time 3-D-IC Systems," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 28, no. 3, pp. 672-685, 3/2020.
J35-2020 Z. Wang, M. Ogbodo, H. Huang, C. Qiu,  M. Hisada, A. Ben Abdallah, "AEBIS: AI-Enabled Blockchain-based Electric Vehicle Integration System for Power Management in Smart Grid Platform," IEEE Access, vol. 8, pp. 226409-226421, 2020, doi:10.1109/ACCESS.2020.3044612.
J34-2020
Khanh N. Dang, Akram Ben Ahmed, Abderazek Ben Abdallah, Xuan-Tu Tran, ‘‘A thermal-aware on-line fault tolerance method for TSV lifetime reliability in 3D-NoC systems,’’ IEEE Access,  Volume 8, pp 166642-166657, 2020. DOI: 10.1109/ACCESS.2020.3022904
J33-2020 Khanh N. Dang,  Akram Ben Ahmed, Yuichi Okuyama, Abderazek Ben Abdallah, ”Scalable Design Methodology and Online Algorithm for TSV-cluster Defects Recovery in Highly Reliable 3D-NoC Systems,” IEEE Transactions on Emerging Topics in Computing,  Vol:8, Issue: 3, pp. 577-590, 2020. DOI: 10.1109/TETC.2017.2762407
J32-2020
Khanh N. Dang , Michael Meyer, Akram Ben Ahmed, Abderazek Ben Abdallah, and Xuan-Tu Tran, “A non-blocking non-degrading multi-defect link test method for 3D-Networks-on-Chip,” IEEE Access, vol. 8, pp. 59571-59589, 2020. DOI: 10.1109/ACCESS.2020.2982836
J31-2019
The H. Vu,Yuichi Okuyama, Abderazek Ben Abdallah, “Comprehensive Analytic Performance Assessment and K-means based Multicast Routing Algorithms and Architecture for 3D-NoC of Spiking Neurons,” ACM Journal on Emerging Technologies in Computing Systems (JETC), Special Issue on Hardware and Algorithms for Learning On-a-chip for Energy-Constrained On-Chip Machine Learning, Vol. 15, No. 4, Article 34, October 2019. doi: 10.1145/3340963
J30-2019
The Vu, Ogbodo Mark Ikechukwu, Abderazek Ben Abdallah, ''Fault-tolerant Spike Routing Algorithm and Architecture for Three Dimensional NoC-Based Neuromorphic Systems'', IEEE Access, Vol 7, pp. 90436-90452, 2019, DOI: 10.1109/ACCESS.2019.2925085
J29-2019
The H. Vu, Yuichi Okuyama, Abderazek Ben Abdallah, ‘’Analytical performance assessment and high‑throughput low‑latency spike routing algorithm for spiking neural network systems,’’ Journal of Supercomputing 75, pp. 5367–5397 (2019). https://doi.org/10.1007/s11227-019-02792-y
J28-2018
Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah, ”SAFT-PHENIC: a thermal-aware microring fault-resilient photonic NoC,” The Journal of Supercomputing, Volume 74, Issue 9, pp 4672–4695, 2018. DOI: 10.1007/s11227-018-2463-x
J27-2017 Khanh N. Dang, Akram Ben Ahmed, Xuan-Tu Tran, Yuichi Okuyama, Abderazek Ben Abdallah, ”A Comprehensive Reliability Assessment of Fault-Resilient Network-on-Chip Using Analytical Model”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 25, Issue: 11, pp. 3099 – 3112, vol. 2017.  DOI: 10.1109/TVLSI.2017.2736004
J26-2017 A. B. Ahmed, T. Yoshinaga and A. Ben Abdallah, "Scalable Photonic Networks-on-Chip Architecture Based on a Novel Wavelength-Shifting Mechanism," in IEEE Transactions on Emerging Topics in Computing, vol. 8, no. 2, pp. 533-544, 1 April-June 2020, doi: 10.1109/TETC.2017.2737016.
J25-2017
Khanh N. Dang, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah, ”A Low-overhead Soft-Hard Fault Tolerant Architecture, Design and Management Scheme for Reliable High-performance Many-core 3D-NoC Systems,” Journal of Supercomputing (2017) 73:2705–2729
J24-2017
Achraf Ben Ahmed, A. Ben Abdallah, ''Architecture and Design of Real-Time Systems for Elderly Health Monitoring,'' Journal of Embedded Systems, 2017, Vol.9, No.5, pp.484 – 494,  DOI: 10.1504/IJES.2017.10007717
J23-2017
Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah, ”Microring Fault-resilient Photonic Network-on-Chip for Reliable High-performance Many-core Systems,” The Journal of Supercomputing, Volume 73, Issue 4, pp 1567–1599 , April 2017. doi: 10.1007/s11227-016-1846-0
J22-2016
Achraf Ben Ahmed, Abderazek Ben Abdallah,''An Energy-Efficient High-Throughput Mesh-Based Photonic On-Chip Interconnect for Many-Core Systems,'' Photonics 2016, 3(2), 15; https://doi.org/10.3390/photonics3020015
J21-2016
Akram Ben Ahmed, Abderazek Ben Abdallah, ”Adaptive Fault-Tolerant Architecture and Routing Algorithm for Reliable Many-Core 3D-NoC Systems”, Journal of Parallel and Distributed Computing, Volumes 93–94, July 2016, Pages 30-43, ISSN 0743-7315, doi:10.1016/j.jpdc.2016.03.014
J20-2015
Achraf Ben Ahmed, Abderazek Ben Abdallah, “Hybrid Silicon-Photonic Network-on-Chip for Future Generations of High-performance Many-core Systems,” The Journal of Supercomputing, Dec. 2015, Vol. 71, Issue 12, pp 4446-4475. DOI: 10.1007/s11227-015-1539-0
J19-2014
Akram Ben Ahmed, A. Ben Abdallah,”Graceful Deadlock-Free Fault-Tolerant Routing Algorithm for 3D Network-on-Chip Architectures,” Journal of Parallel and Distributed Computing, 74/4 (2014), pp. 2229-2240.
J18-2013
Akram Ben Ahmed, A. Ben Abdallah, ”Architecture and Design of High-throughput, Low-latency and Fault-Tolerant Routing Algorithm for 3D-Network-on-Chip,” The Journal  of Supercomputing, December 2013, Volume 66, Issue 3, pp 1507-1532
J17-2011
Abderazek Ben Abdallah, M. Masuda, A. Canedo, K. Kuroda, “Natural Instruction Level Parallelism-aware Compiler for High-Performance QueueCore Processor Architecture,” The Journal of Supercomputing, Volume 57, Number 3, pp. 314-338, Sept. 2011.
J16-2010
Abderazek Ben Abdallah, “Efficient Parallel ECG Processing Algorithm and Design of Flexible Health Monitoring System for Elderly People”, Innovation Research Journal, March    2010, pp. 24-27.
J15-2010
Arquimedes Canedo, Abderazek Ben Abdallah, Masahiro Sowa, “Compiling for Reduced Bit-Width Queue Processors,” Journal of Signal Processing Systems, Volume 59, Number 1, 45-55, 2010.
J14-2009
Arquimedes Canedo, Abderazek Ben Abdallah,  Masahiro Sowa, “Efficient Compilation for Queue Size-Constrained Queue Processors”, Journal of Parallel Computing, Vol.35, pp. 213-225, 2009.
J13-2009
Arquimedes Canedo, Abderazek Ben Abdallah, Masahiro Sowa, “Design and Implementation of a Queue Compiler”, Journal of Microprocessors and Microsystems, Vol. 33, Issue 2, pp. pp. 29-138, 2009.
J12-2009
Arquimedes Canedo, Abderazek Ben Abdallah, Masahiro Sowa, “Compiler Support for Code Size Reduction using a Queue-based Processor”, Transactions on High-Performance Embedded Architectures and Compilers, Vol. 2, Issue 4, pp. 269-285, 2009.
J11-2008
Abderazek Ben Abdallah, A. Canedo, T. Yoshinaga, M. Sowa, “The QC-2 Parallel Queue Processor Architecture,” Jnl. of Parallel and Distributed Computing, Vol. 68, No. 2, pp. 235-245, 2008.
J10-2008
Md. Musfiquzzaman Akanda, Abderazek Ben Abdallah, and Masahiro Sowa, “Dual-Execution Mode Processor Architecture,” The Journal of Supercomputing, Vol. 44, No. 2, pp. 103-125, 2008.
J9-2007
A. Acanda, Ben Abdallah, and M. Sowa, “A New Code Generation Algorithm for 2-offset Producer Order Queue Computation Model,”  Journal of Computer Languages, Systems & Structures, Vol. 34, Issue 4, pp. 184-194, 2007
J8-2007
A. Ben Abdallah, and M. Sowa, “Advanced Power Management Techniques for Mobile Communication Systems,” Journal of Computer Research, Vol. 14, No.2, pp. 109-128, 2007
J7-2007
Nakanishi, A. Canedo, A. Ben Abdallah, and M. Sowa, “Optimizing Reaching Definitions Overhead in Queue Processors,” Journal of Convergence Information technology, 2007, Vol. 2, No. 4, pp. 36-40, 2007
J6-2007
Md. Musfiquzzaman Akanda, A. Ben Abdallah, and M. Sowa, “Dual-Execution Mode Processor Architecture For Embedded Applications,” Journal of Mobile Multimedia, Vol. 3, No.4, Dec. 2007, pp. 347-370.
J5-2006
A. Ben Abdallah, T. Yoshinaga, M. Sowa, “High-Level Modeling and FPGA Prototyping of Produced Order Parallel Queue Processor Core,” The Journal of Supercomputing, Vol. 38, Number 1, pp. 3-15, 2006.
J4-2006
Abderazek Ben Abdallah, Sotaro Kawata, Masahiro Sowa, “Design and Architecture for an Embedded 32-bit Queue Core,” Journal of Embedded Computing, Special Issue in embedded single-chip multicore architectures, Vol. 2, No. 2, pp. 191-205, 2006.
J3-2005
Viet, T. Yoshinaga, A. Ben Abdallah, and Masahiro Sowa, “Construction of Hybrid MPI-OpenMP Solutions for SMP Clusters,” IPSJ Transactions on Advanced Computing Systems, Vol.46, pp.25-37, Jan. 2005.
J2-2005
M. Sowa, A. Ben Abdallah, and T. Yoshinaga, “Parallel Processor Architecture Based on Produced Order Computation Model,” The Journal of Supercomputing, Vol. 32, No. 3, pp. 217-229, June 2005.
J1-2000
Abderazek Ben Abdallah, Mudar Sarem, Masahiro. Sowa, “Dynamic Fast Issue Mechanism (DFI) for Dynamic Scheduled Processors,” IEICE Transactions on Fundamentals of Electronics, Communications, and Computer Science, Vol. E83-A No.12 pp.2417-2425, Dec. 2000.
Refereed International Conference Papers (国際会議)
C82-2023 Jiangkun Wang, Khanh N. Dang and Abderazek Ben Abdallah, Scaling Deep-Learning Pneumonia Detection Inference on a Reconfigurable Self-Contained Hardware Platform”, 2023 IEEE 6th International Conference on Electronics Technology (ICET), May 12-15, 2023. Best Student Paper Award.
C81-2023 Mohamed Maatar, Khanh N. Dang and Abderazek Ben Abdallah, Thermal-Aware Task-Mapping Algorithm and Architecture for 3D-NoC-Based Event-Driven Neuromorphic System”, 2023 IEEE 6th International Conference on Electronics Technology (ICET), May 12-15, 2023.
C80-2023 Cheng Hong, Sinchhean Phea, Khanh N. Dang, Abderazek Ben Abdallah, ''The AIzuHand Neuromorphic Prosthetic Hand,'' ETLTC2023, January 24-27, 2023
C79-2023 Yamato Saikawa, Khanh N. Dang, Abderazek Ben Abdallah, ''Multimodal sEMG and Speech-Based Design and Evaluation of a Low-Cost'', ETLTC2023, January 24-27, 2023
C78-2023 Yu Yajima, Zhishang Wang, Abderazek Ben Abdallah, ''Robust Collaborative Learning Against Poisoning Attacks in Electric Vehicles Network,'' ETLTC2023, January 24-27, 2023
C77-2022 Mark Ogbodo,  Khanh N. Dang, Abderazek Ben Abdallah, ''Study of a Multi-modal Neurorobotic Prosthetic Arm Control System based on Recurrent Spiking Neural Network,'' ETLTC2022, January 25-28, 2022
C76-2022 Yamato Saikawa, Abderazek Ben Abdallah, ''Study of Deep Learning-based Hand Gesture Recognition Toward the Design of a Low-cost Prosthetic Hand'',  ETLTC2022, January 25-28, 2022
C75-2022 Masaki Watanabe, Abderazek Ben Abdallah, ''A Low-cost Raspberry Pi-based Control System for Upper Limb Prosthesis,''  ETLTC2022, January 25-28, 2022
C74-2022 Sinchhean Phea, Abderazek Ben Abdallah, ''An Affordable 3D-printed Open-Loop Prosthetic Hand Prototype with Neural Network Learning EMG-Based Manipulation for Amputees,''  ETLTC2022,  January 25-28, 2022
C73-2022 Yuuki Okada, Jiangkun Wang, Tomohide Fukuchi and Abderazek Ben Abdallah, ''Parallelization and Hardware Mapping of Deep Neural Network on Reconfigurable Platform for AI-Enabled Biomedical System,'' ETLTC2022, January 25-28, 2022
C72-2021
Ogbodo Mark Ikechukwu, Khanh N. Dang and Abderazek Ben. Abdallah, “Energy-efficient Spike-based Scalable Architecture for Next-generation Cognitive AI Computing Systems,” Springer Lecture  Note in Computer Science (LNCS), International Symposium on Ubiquitous Networking 2021 (UNET21), May 19 – May 22, 2021, Marakesh, Morocco (Best Student Paper Award)
C71-2021 Naoto Ageishi, Fukuchi Tomohide, Abderazek Ben Abdallah, ''Real-time Hand-Gesture Recognition based on Deep Neural Network,'' 3rd  ETLTC2021 -ACM Chapter Int. Conference  on Information and Comm. Technology, January 27-30, 2021, Aizu-Wakamatsu, Japan
SHS Web of Conferences 102, 04009 (2021),  10.1051/shsconf/202110204009
C70-2021 Miyuka Nakamura, Jiangkun Wang, Sinchhean Phea, Abderazek Ben Abdallah, ''Comprehensive Study of Coronavirus Disease 2019 (COVID-19) Classification based on Deep Convolution Neural Networks,'' 3rd  ETLTC2021-ACM Chapter Int. Conference  on Information and Comm. Technology, January 27-30, 2021, Aizu-Wakamatsu, Japan
SHS Web of Conferences 102, 04007 (2021), DOI:10.1051/shsconf/202110204007
C69-2021 Okada Yuuki, Jiangkun Wang, Ogbodo Mark Ikechukwu, Abderazek Ben Abdallah, ''Hardware Acceleration of Convolution Neural Network for AI-Enabled Realtime Biomedical System,'' 3rd  ETLTC2021-ACM Chapter Int. Conference  on Information and Comm. Technology,   January 27-30, 2021, Aizu-Wakamatsu, Japan.
SHS Web Conf., 102 (2021) 04019, DOI:10.1051/shsconf/202110204019
C68-2021
Sinchhean Phea, Zhishang Wang, Jiangkun Wang, Abderazek Ben Abdallah, ''Optimization and Implementation of a Collaborative Learning Algorithm for an AI-Enabled Real-time Biomedical System,'' 3rd  ETLTC2021-ACM Chapter Int. Conference  on Information and Comm. Technology, January 27-30, 2021, Aizu-Wakamatsu, Japan
SHS Web Conf., 102 (2021) 04017, DOI: 10.1051/shsconf/202110204017  (Best Paper Award)
C67-2020 H. Huang, M. Ogbodo, Z. Wang, C. Qiu, M. Hisada and A. Ben-Abdallah, "Smart Energy Management System based on Reconfigurable AI Chip and Electrical Vehicles," 2021 IEEE International Conference on Big Data and Smart Computing (BigComp), Jeju Island, Korea (South), 2021, pp. 233-238, doi: 10.1109/BigComp51126.2021.00051.
C66-2020 Khanh N. Dang, Akram Ben Ahmed, Fakhrul Zaman Rokhani, Abderazek Ben Abdallah, and Xuan-Tu Tran, ‘‘A thermal distribution, lifetime reliability prediction and spare TSV insertion platform for stacking 3D NoCs’’, 2020 International Conference On Advanced Technologies For Communications (ATC), Nov. 8-10, 2020, Nha Trang, Vietnam
C65-2020 Tomohide Fukuchi, Ogbodo Mark Ikechukwu,  Abderazek Ben Abdallah. ''Design and Optimization of a Deep Neural Network Architecture for Traffic Light Detection,'' ACM Chapter International Conference on Educational Technology, Language and Technical Communication (ETLTC), January 27-31, 2020, Aizuwakamatsu, Japan.
C64-2020 Ogbodo Mark Ikechukwu, Khanh N. Dang, Tomohide Fukuchi, Abderazek Ben Abdallah, “Architecture and Design of a Spiking Neuron Processor Core Towards the Design of a Large-scale Event-Driven 3D-NoC-based Neuromorphic Processor”, ACM Chapter International Conference on Educational Technology, Language and Technical Communication (ETLTC), January 27-31, 2020, Aizuwakamatsu, Japan.
C63-2020 Mark Ogbodo, The Vu, Khanh N. Dang and Abderazek Abdallah, Light-weight Spiking Neuron Processing Core for Large-scale 3D-NoC based Spiking Neural Network Processing Systems”, The 7th IEEE International Conference on Big Data and Smart Computing, Feb 19, 2020 - Feb 22, 2020,  Pusan, South Korea 
C62-2019 Khanh N. Dang and Abderazek Ben Abdallah An Efficient Software-Hardware Design Framework for Spiking Neural Network Systems”, 2019 IEEE International Conference on Internet of Things, Embedded Systems and Communications (IINTEC 2019), Tunis, Tunisia, 2019, pp. 155-162. DOI: 10.1109/IINTEC48298.2019.9112123
C612-2019 Khanh N. Dang, Michael Meyer, Akram Ben Ahmed, Abderazek Ben Abdallah, and Xuan-Tu Tran, “2D-PPC: A single-correction multiple-detection method for Through-Silicon-Via Faults”, 2019 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS 2019), Nov. 11-14, 2019.
C60-2019 Khanh N. Dang, Akram Ben Ahmed, Ben Abdallah Abderazek and Xuan-Tu Tran, “TSV-IaS: Analytic analysis and low-cost non-preemptive on-line detection and correction method for TSV defects'', IEEE Symposium on VLSI (ISVLSI) 2019, pp. 301-306, Jul. 15-17, 2019 . DOI: 10.1109/ISVLSI.2019.00096
C59-2019 The H. Vu, Abderazek Ben Abdallah, ''A Low-latency K-means based Multicast Routing Algorithm and Architecture for Three Dimensional Spiking Neuromorphic Chips'', IEEE International Conference on Big Data and Smart Computing (BigComp 2019), Kyoto, Japan, Feb 28 - Mar 2, 2019  [Best Paper Award]
C58-2018 The H. Vu, Ryunosuke Murakami, Yuichi Okuyama, Abderazek Ben Abdallah, ”Efficient Optimization and Hardware Acceleration of CNNs towards the Design of a Scalable Neuro-inspired Architecture in Hardware”, IEEE International Conference on Big Data and Smart Computing  (BigComp 2018), Shanghai, China, January 15-18, 2018.
C57-2016 Khanh N. Dang, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah, ”Reliability Assessment and Quantitative Evaluation of Soft-Error Resilient 3D NoC System”, 25th IEEE Asian Test Symposium (ATS’16), November 21-24, 2016
C56-2016 Khanh N. Dang, Yuichi Okuyama, Abderazek Ben Abdallah, ”Soft-Error Resilient Network-on-Chip for Safety-Critical Applications”, 2016 IEEE International Conference on Integrated Circuit Design and Technology (ICICDT), June 27 – 29, 2016
C55-2015 Khanh N. Dang, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah, “A Soft-Error Resilient 3D Network-on-Chip Router for Highly-reliable Multi-core Systems”, IEEE 7th International Conference on Awareness Science and Technology (iCAST 2015), Sep. 22-24, 2015.
C54-2015 Achraf Ben Ahmed, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah, ”Hybrid Photonic NoC based on Non-blocking Photonic Switch and Light-weight Electronic Router”, Proc. of the IEEE International Conference on Systems, Man, and Cybernetics (SMC2015), Oct. 9-12, 2015.
C53-2015 Michael Meyer, Akram Ben Ahmed, Yuichi Okuyama, Abderazek Ben Abdallah, ”Microring Fault-resilient Optical Router for Reliable Network-on-Chip Systems”, Proc. of 9th IEEE International Symposium on Embedded Multicore/Manycore SoCs (MCSoC-15), Sept. 2015.
C52-2015 Michael Meyer, Akram Ben Ahmed, Yuki Tanaka, Abderazek Ben Abdallah, “On the Design of a Fault-tolerant Photonic Network-on-Chip,” Proc. of the IEEE International Conference on Systems, Man, and Cybernetics (SMC2015), Oct. 9-12, 2015.
C51-2015 Achraf Ben Ahmed, Yuichi Okuyama, Abderazek Ben Abdallah,”Non-blocking Electro-optic Network-on-Chip Router for High-throughput and Low-power Many-core Systems”,  Proc. of the World Congress on Information Technology and Computer Applications 2015, June 11-13, 2015
C50-2015 Achraf Ben Ahmed, Michael Meyer, Yuichi Okuyama, Abderazek Ben Abdallah,”Efficient Router Architecture, Design and Performance Exploration for Many-core Hybrid Photonic Network-on-Chip (2D-PHENIC)”, Proc. Of the International Conference on Information Science and Control Engineering, 04/2015.
C49-2014 Achraf  Ben Ahmed, M. Meyer, Y. Okuyama, and A. Ben Abdallah, ”Adaptive Error- and Traffic Aware Router Architecture for 3D Network-on-Chip Systems”, IEEE Proceedings of the 8th International Symposium on Embedded Multicore/Manycore SoCs (MCSoC-14), pp. 197-2014, Sept. 2014.
C48-2013 Achraf Ben Ahmed, A. Ben Abdallah, ”PHENIC: Towards Photonic 3D-Network-on-Chip Architecture for High-throughput Many-core Systems-on-Chip”, IEEE Proceedings of the 14th International Conference on Sciences and Techniques of Automatic control and computer engineering, 2013
C47-2013 Akram Ben Ahmed, A. Ben Abdallah, ”Fault-tolerant Routing Algorithm with Deadlock Recovery Support for 3D-NoC Architectures”, IEEE Proceedings of the 7th International Symposium on Embedded Multicore SoCs, Sept. 2013
C46-2013 Achraf Ben Ahmed, A. Ben Abdallah, ”Hardware/Software Prototyping of Dependable Real-Time System for Elderly Health Monitoring”, IEEE Proc. of the World Congress on Computer and IT, ICMAES, June 2013.
C45-2013 Akram Ben Ahmed, T. Ouchi, S. Miura, A. Ben Abdallah, ”Run-Time Monitoring Mechanism for Efficient Design of Application-specific NoC Architectures in Multi/Manycore Era”, Proc. IEEE 6th International Workshop on Engineering Parallel and Multicore Systems (ePaMuS2013′), July 2013.
C44-2012 Akram Ben Ahmed, A. Ben Abdallah, ”Low-overhead Routing Algorithm for 3D Network-on-Chip”, IEEE Proc. of The Third International Conference on Networking and Computing (ICNC’12), pp. 23-32, 2012.
C43-2012 Akram Ben Ahmed, A. Ben Abdallah, ”LA-XYZ: Low Latency, High Throughput Look-Ahead Routing Algorithm for 3D Network-on-Chip (3D-NoC) Architecture”, IEEE Proceedings of the 6th International Symposium on Embedded Multicore SoCs (MCSoC-12), pp. 167-174, 2012.
C42-2012 Achraf Ben Ahmed, Yumiko Kimezawa, A. Ben Abdallah, ”Towards Smart Health Monitoring System for Elderly People”, IEEE Proceedings of The 4th International Conference on Awareness Science and Technology, pp. 248-253, 2012.
C41-2012 Akram Ben Ahmed, A. Ben Abdallah, ”ONoC-SPL Customized Network-on-Chip (NoC) Architecture and Prototyping for Data-intensive Computation Applications”, IEEE Proceedings of The 4th International Conference on Awareness Science and Technology, pp. 257-262, 2012.
C40-2010 A. Ben Ahmed, A. Ben Abdallah, K. Kuroda, ”Architecture and Design of Efficient 3D Network-on-Chip (3D NoC) for Custom Multicore SoCs”, IEEE Proc. of the 5th International Conference on Broadband, Wireless Computing, Communication and Applications (BWCCA-2010), Nov. 2010. [Best Paper Award]
C39-2010 K. Mori, A. Esch, A. Ben Abdallah, K. Kuroda, ”Advanced Design Issues for OASIS Network-on-Chip Architecture”, IEEE Proc. of the 5th International Conference on Broadband, Wireless Computing, Communication and Applications (BWCCA-2010), Nov. 2010, pp. 74-79.
C38-2009 M. Masuda, A. Ben Abdallah, A. Canedo, ”Software and Hardware Design Issues for Low-Complexity High-Performance Processor Architecture”, IEEE ICPPW’09 Proc. of the 2009 International Conference on Parallel Processing Workshops, pp. 558-565, 2009.
C37-2009 Y. Haga, A. Ben Abdallah, and K. Kuroda, ”Embedded MCSoC Architecture and Period-Peak Detection (PPD) Algorithm for ECG/EKG Processing”, The 19th Intelligent System Symposium (FAN 2009), pp.298-303, Sep. 2009.
C36-2009 S. Miura, A. Ben Abdallah, and K. Kuroda, ”PNoC – Design and Preliminary Evaluation of a Parameterizable NoC for MCSoC Generation and Design Space Exploration”, The 19th Intelligent System Symposium (FAN 2009), pp.314-317, Sep. 2009.
C35-2009 K. Mori, A. Ben Abdallah, and K. Kuroda, ”Design and Evaluation of a Complexity-Effective Network-on-Chip Architecture on FPGA”, The 19th Intelligent System Symposium (FAN 2009), pp.318-321, Sep. 2009.
C34-2009 M. Masuda, A. Canedo, A. Ben Abdallah, ”Efficient Code Generation Algorithm for Natural Instruction Level Parallelism-aware Queue Architecture”, The 19th Intelligent System Symposium (FAN 2009), pp.308-313, Sep. 2009. (Best Presentation Award).
C33-2008 T. Maekawa, A. Ben Abdallah, and K. Kuroda, ”Single Instruction Dual-Execution Model Processor Architecture”, Proc. IEEE/IFIP Int’l Conf. on Embedded and Ubiquitous Computing (EUC2008), pp.30-36, Dec. 2008.
C32-2008 H. Hoshino, A. Ben Abdallah, and K. Kuroda, ”Advanced Optimization and Design Issues of a 32-bit Embedded Processor Based on Produced Order Queue Computation Model”, IEEE/IFIP Int’l Conf. on Embedded and Ubiquitous Computing (EUC2008),pp.16-22, Dec.2008.
C31-2008 A. Canedo, A. Ben Abdallah, and M. Sowa, ”Quantitative Evaluation of Common Sub-expression Elimination on Queue Machines”, Proc. IEEE Int’l Sym. on Parallel Architectures, Algorithms, and Networks (I-SPAN 2008), pp.25-30. 2008.
C30-2007 Arquimedes Canedo, Ben Abdallah Abderazek, Masahiro Sowa, ''New Code Generation Algorithm for QueueCore - An Embedded Processor with High ILP,'' 8th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2007), Adelaide, Australia, Dec. 3-6, 2007 (Best Paper Award)
C29-2007 A. Ben Abdallah, T Yoshinaga, and M. Sowa, ”Mathematical Model for Multiobjective Synthesis of NoC Architectures”, IEEE Proc. of the 36th International Conference on Parallel Processing, Sept. 2007.
C28-2007 A. Canedo, A. Ben Abdallah, and M. Sowa, ”Queue Register File Optimization Algorithm for QueueCore Processor”, Proc. IEEE 19th International Symposium on Computer Architecture and High-Performance Computing (SBAC-PAD 2007), pp. 169-176, 2007.
C27-2007 A. Canedo, A. Ben Abdallah, and M. Sowa, ”An Efficient Code Generation Algorithm for Code Size Reduction using 1-offset P-Code Queue Computation Model”, Proc. IFIP International Conference on Embedded and Ubiquitous Computing (EUC07), pp. 196-208, 2007
C26-2007 A. Canedo, A. Ben Abdallah, and M. Sowa, ”Compiler Framework for an Embedded 32-bit Queue Processor”, Proc. of the International Conference on Convergence Information Technology (ICCIT07), Gyeongju, South Korea, pp. 877-884, 2007.
C25-2006 A. Ben Abdallah, T. Yoshinaga, and M. Sowa, ”Scalable Core-Based Methodology and Synthesizable Core for Systematic Design Environment in Multicore SoC (MCSoC)”, Proc. IEEE 35th International Conference on Parallel Processing Workshops, Aug. 14-18th, pp. 345-352, 2006.
C24-2006 A. Ben Abdallah, Masahiro Sowa, ”Basic Network-on-Chip Interconnection for Future Gigascale MCSoCs Applications: Communication and Computation Orthogonalization”, Proc. of the Joint Symposium on Science, Society and Technology (JASSST2006), pp. 1-7, Dec. 4-9th, 2006.
C23-2005 A. Ben Abdallah, M. Arsenji, S. Shigeta, T. Yoshinaga, and M. Sowa, ”Modular Design Structure and High-Level Prototyping for Novel Embedded Processor Core”, Proc. of International Conference on Embedded and Ubiquitous Computing (EUC2005), LNCS Vol.3824, pp. 340-349, 2005.
C22-2005 M. Akanda, A. Ben Abdallah, S. Kawata, and M. Sowa, ”An Efficient Dynamic Switching Mechanism (DSM) for Hybrid Processor Architecture”, Proc. of International Conference on Embedded and Ubiquitous Computing (EUC2005), LNCS Vol.3824, pp. 77-86, Dec. 2005.
C21-2005 A. Markovskij, A. Ben Abdallah, S. Kawata, and M. Sowa, ”Architecture of Produced-order Parallel Queue Processor: Preliminary Evaluation”, Proc. of the 38th International Symposium on Microarchitecture (MICRO-38), Nov. 2005.
C20-2005 Ta Quo Viet, T. Yoshinaga, and A Ben Abdallah, ”Performance Enhancement for Matrix Multiplication on an SMP PC Cluster”, Summer United Workshops on Parallel, Distributed and Cooperative Processing, August 2005.
C19-2004 A. Ben Abdallah, Markov Arsenji, S. Shigeta, T. Yoshinaga, and M. Sowa, ”Queue Processor for Novel Queue Computing Paradigm Based on Produced Order Scheme”, Proc. IEEE of the 7th High-Performance Computing and Grid in Asia Pacific Region (HPCAsia2004), pp. 169-177, July 2004.
C18-2004 Shigeta, L.-Q. Wang, N. Yagishita, A. Ben Abdallah, T. Yoshinaga, and M. Sowa, ”QJava: Integrate Queue Computational Model into Java”, Proc. of the Joint Japan-Tunisia Workshop on Computer Systems and Information Technology (JT-CSIT’04), July 2004.
C17-2004 A. Markovskij, M. Sowa, A. Ben Abdallah, S. Shigeta, and T. Yoshinaga, ”Design of Producer-Order Parallel Queue Processor Architecture”, Proc. of International Workshop on Modern Science and Technology (IWMST 2004), September 2-3, 2004.
C16-2004 M. Akanda, A. Ben Abdallah, S. Shigeta, T. Yoshinaga, and M. Sowa, ”High-performance Hybrid Processor Architecture with Efficient Hardware Usability”, Proc. of International Workshop on Modern Science and Technology (IWMST 2004), September 2-3, 2004.
C15-2004 H. Sasaki, Y. Okumura, A. Ben Abdallah, S. Shigeta, T. Yoshinaga, and M. Sowa, ”Theoretical Evaluation of Simultaneous Multi-threading Parallel Queue Processor Architecture”, Proc. International Conference on Circuits/Systems, Computers and Communications, July 2004.
C14-2003 A. Ben Abdallah, S. Shigeta, T. Yoshinaga, and M. Sowa, ”On the Design of a Register Queue-Based Processor Architecture (FaRM-rq)”, Proc. of the International Symposium of Parallel and Distributed Processing and Applications (ISPA 2003), pp.248-262, July 2003.
C13-2003 L. Q. Wang, A. Ben Abdallah, S. Shigeta, T. Yoshinaga, and M. Sowa, ”QJAVAC: Queue-Java Compiler Design for High Parallelism Queue Java Bytecode”, Proc. of International Technical Conference in Circuits/Systems, Computers and Communications (ITC-CSCC2003), pp. 900-903, July 2003.
C12-2003 Tao. Q. Viet, T. Yoshinaga, A. Ben Abdallah, and M. Sowa, ”A Hybrid MPI-OpenMP Solution for a Linear System on a Cluster of SMPP”, SACSIS03, pp.299-306, 2003.
C11-2003 T. Q. Viet, T. Yoshinaga, A. Ben Abdallah, and M. Sowa, ”A Hybrid MPI-OpenMP Solution for a Linear System on a Cluster of SMPs”, Proc. of Symposium on Advanced Computing Systems and Infrastructures, pp.299-306, 2003.
C10-2002 A. Ben Abdallah, S. Shigeta, T. Yoshinaga, and M. Sowa, ”Complexity Analysis of a Functional Assignment Register Microprocessor”, Proc. of the Int. Workshop on Modern Science and Technology (IWMST02), pp.116-123, Sep. 2002.
C9-2000 A. Ben Abdallah, Mudar Sarem, and M. Sowa, ”Dynamic Fast Issue Mechanism (DFI) for Dynamic Scheduled Processors”, IEICE Transactions on Fundamentals of Electronics, Communications and Computer Science, Vol. E83-A No.12 pp.2417-2425, Dec. 2000.
C7-2001 A. Ben Abdallah, K. Nikolova, and M. Sowa, ”FARM-Queue Mode: On a Practical Queue Execution Model”, Proc. of the Int. Conf. on Circuits and Systems, Computers and Communications, pp.939-944, July 2001.C
C6-2001 Kiriuka Nikolova, A. Ben Abdallah, and M. Sowa, ”Dynamical Critical Path Parallelism-Independent Scheduling Algorithm for Distributed Computing Systems”, Proc. of the International Technical Conference on Circuits and Systems, Computers and Communications, pp. 929-934, July 2001.
C5-1999 A. Ben Abdallah, and M. Sowa, ”DRA: Dynamic Register Allocator Mechanism for FaRM Microprocessor”, Proc. of the 3rd International Workshop on Advanced Parallel Processing Technologies (APPT’99), pp.131-136, October 1999.
C4-1999 A. Ben Abdallah, M. Sarem, and M. Sowa, ”A Survey on the advances of Disc I/O performance metrics”, Proc. of International Conference on Robotics, Vision and Parallel Processing, pp. 522-527, July 1999.
C3-1997 A. Ben Abdallah, A. Kazi, and L. L. Shan, ”Multi-Function Interface Board for Teaching Topics and Development System”, APST97, Yata, PRC. Pp.134-139, Sep. 1997
C2-1997 A. Ben Abdallah, A. Kazi, and L. L. Shan, ”Multi-Function Interface Board for Teaching Topics and Development System”, APST97, Yata, PRC. Pp.134-139, Sep. 1997
C1-1996 L. L. Shan, L. Liu, and A. Ben Abdallah, ”The Master-Slave Two Level Distributed Microcomputer Measuring and Monitoring System”, ISMTIT, Japan, pp. 161-164, 1996
  Patents (特 許)
P1-2023-R 特許第7277682号 (May 11, 2023)Abderazek Ben Abdallah, The H. Vu, Masayuki Hisada, 3次元ネットワークオンチップによるスパイキング ニューラルネットワーク】 ''Spiking Neural Network with 3D Network-on-Chip'', 特願2019-124541 (July 3, 2019)
P2-2023-R 特願2017-218953(特 許第7239099号)Abderazek Ben Abdallah, Khanh N. Dang, Masayuki Hisada, "3D ネットワークオンチップのための TSV 誤り耐容ルータ装置/A TSV fault-tolerant router system for 3D-Networks-on-Chip," 特願 2017-218953 (2023.03.14)
P3-2021-R
[特 許第6846027 号] (2021.03.03) ベンア ブダ ラ アブデラゼク (Abderazek Ben Abdallah), ''Defect-tolerant router for network-on-chip'' [ネットワークオンチップ用の欠陥耐性ルータ],特願 2016-100732(2016.05.19)
P4-2020-R
[特 許第6804072 号] (2020.12.04) ベンアブダラ ア ブデラゼク (Abderazek Ben Abdallah), 久田雅之, ''Virtual Power Platform Control System [仮想発電所制御システム]'', 特願2020-033678(2020.02.28)
P5-2020-R [特 許第6747660号]  (登録日2020.11.08),  ベンアブダラ アブデラゼク (Abderazek Ben Abdallah), ''それぞれコ ントロールユニッ トを有す る非ブロック光スイッチを用いる 光ネットワーク・オン・チップシステムのセットアップ方法], 特願2015-196698号 (2015.10.02)
P6-2018-R [特 許第6284177号]   (登録日2018.2.09),  ベンアブダラ アブデラゼク (Abderazek Ben Abdallah), ''誤り耐性ルータ、これを使用 するIC、及び誤り 耐性ルータの制 御方法'', 特願2013-262523号 (2013.12.19)
P7-2020-P Abderazek Ben Abdallah, Khanh N. Dang, Masayuki Hisada, ‘‘Distance-aware Extended Parity Product Coding for multiple faults detection for on-chip links [三次元ICリンクにおける多重故障検出のための距離に基づく拡張パリティ積符号], 特 願 2020-171553
P8-2020-P Abderazek Ben Abdallah, Khanh N. Dang, "A three-dimensional system on chip in which a TSV group including a plurality of TSVs provided to connect between layers'', 特願2020-094220.
P9-2020-P Abderazek Ben Abdallah, Huankun Huang, Nam Khanh Dang, Jiangning Song, "AIプ ロセッサ," 特願2020-194733 (2020 年11月24日)
P10-2022-P
Abderazek Ben Abdallah,Wang Zhishang, Masayuki Hisada, ''An electricity trading system and an electricity trading method [電力取引システム及び電力取引方法に関する], 特願2022-022472
P11-2022-P Khanh N. Dang, Abderazek Ben Abdallah, ''Program for generating migration flows for homogeneous computing systems and homogeneous computing devices''[ホ モジニアスコンピューティングシステム及びホモジニアスコ ンピューティングデバイスのマイグレーションフローの生成 プログラム],特願 2022-196416 (JP-A2022-196416)
P12-2023-P Abderazek Ben Abdallah,Wang Zhishang, Khanh N. Dang, Masayuki Hisada, ''EV Power Consumption Prediction Method and System for Power Management in Smart Grid [ スマートグリッドにおける電力管理のためのEV消 費電力予測 方法とシステム ]'',  特願2023-020162
P13-2024-P Khanh N. Dang, Abderazek Ben Abdallah, Nguyen Ngo Doanh, ''Neural Network Processor [ニュー ラルネットワークプロセッサ],'' 特願2024-047372 (March 22, 2024)
P14-2024-P Abderazek Ben Abdallah , Zhishang Wang, K. N. Dang, Masayuki Hisada, ''Self Controlled Urushi Painting System/自己制御漆ロボット手描きプラッ トフォームとシステム'', 特願2024-TC
Awards (受賞)
A10-2023
Best Student Paper Award, 2023 IEEE 6th International Conference on Electronics Technology (ICET2023), China, May 12-15, 2023.
A9-2021
Best Paper Award, 3rd ETLTC2021- ACM Chapter International Conference on Information and Communications Technology", Wednesday, January 27, 2021 to Saturday, January 30, 2021 University  of Aizu, Japan (student's award)
A8-2021 Best Student Paper Award, International Symposium on Ubiquitous Networking 2021 (UNET21), May 19 – May 22, 2021, Marrakesh, Morocco (Virtual due to COVID-19) (student's award)
A7-2019 Best paper runner up award, IEEE International Conference on Big Data and Smart Computing, Kyoto, Japan, 2019 (student's award)
A6-2016 ACM Senior Member Award, December 3, 2016
A5-2014 IEEE Senior Member Award, February 15, 2014 (Member of the IEEE Circuits and Systems, Member of the IEEE Society Technical Committee on Computer Architecture)
A4-2010 Best paper award, 5th International Conference on Broadband, Wireless Computing, Communication and Applications (BWCCA-2010), Fukuoka, Japan, 2010 (student's award)
A3-2010 President Prize for Scientific Research and Technology (le prix de président de la République pour la Recherche Scientifique et la technologie, la iournée du savoir), Tunis, Tunisia, July 2010
A2-2009 Best presentation award, 19th Intelligent System Symposium (FAN2009), Aizu-Wakamatsu, Japan, Sept. 2009 (student's award)
A1-2007 Best paper award, 8th International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2007), Adelaide, Australia, Dec. 3-6, 2007